Biodegradable Processors

The number of personal computers, smartphones, tablets and other electronic gadgets discarded annually has grown rapidly and electronic waste (e-waste) is causing serious environmental concerns. There is thus an urgent need to design green electronics that are energy-efficient and made from environmentally-friendly materials. Biodegradable organic electronics that are environmentally safe, low-cost, large-volume, and disposable have been proposed as a desirable and straightforward solution to this urgent e-waste pollution problem.

In this project, we construct a practical framework based on organic thin-film transistors (OTFTs) due to its compatibility to cost-effective, low-temperature processed biodegradable electronics. The experimental data measured is based on bottom gate, top contact pentacene OTFTs fabricated in our lab. The DC characterization shows good on-to-off ratio and stability. From the transfer curve, we construct a level 61 RPI SPICE device model for simulation.

To demonstrate the functionality of OTFT circuits, we proposed a standard cell library consists of 6 basic logic cells which can be used to cover all required logic functions and allows large designs to be decomposed into basic building block cells. We use the inverter as an example to illustrate the design flow of a standard cell. Different design styles are investigated and the circuit sizing is carefully chosen by the script. The organic standard cell library is then characterized with the nonlinear delay model (NLDM).

We measure and compare the performance and area costs of organic technology versus conventional silicon technology to demonstrate the architectural differences motivated by the underlying semiconductor. Through the analysis of optimal ALU depth, pipeline depth, and superscalar width, we conclude that, compared to modern silicon, processors designed using the organic process favor building deeper pipelines and wider superscalar design. This is largely due to the difference in the ratio of wire delay to gate switching speed. The organic process has relatively fast wires compared to the switching speed of the organic transistors.

This work is the first work that investigates architectural tradeoffs in OTFT designs, but we hope that it is not the last. As part of the future work, we envision investigating more architectural tradeoffs such as energy optimization, the extensive use of parallelism to mitigate the performance challenges of using organic materials, and further device refinement as well as fabricating a complete and optimized organic microprocessor.

Access Paper